Welcome![Sign In][Sign Up]
Location:
Search - nios CF

Search list

[Other resourcestd_cf_2c35

Description: 这个是基于NIOS II的FPGA平台的一个CF卡的接口模块,是在Quartus II下的完整工程包-NIOS II FPGA platform a CF card interface module, Quartus II is the complete package works
Platform: | Size: 399637 | Author: 佴立峰 | Hits:

[Embeded-SCM Developcf5_0

Description: NIOS的CF卡应用,包括了软件和硬件,支持多个系列的PFGA-NIOS the CF card applications, including the hardware and software, to support a number of series PFGA
Platform: | Size: 1608763 | Author: 洪华峰 | Hits:

[Other resourceCF_card_base_on_NiosII

Description: 基于NIOS的CF卡应用(包括了软件和硬件),ALTERA的IP库中只提供了底层的硬件寄存器描述头文件.这是个基于IP核HAL的软件,以及相应的硬件设计示例.
Platform: | Size: 1398615 | Author: 沈阳 | Hits:

[VHDL-FPGA-Verilogstd_cf_2c35

Description: 这个是基于NIOS II的FPGA平台的一个CF卡的接口模块,是在Quartus II下的完整工程包-NIOS II FPGA platform a CF card interface module, Quartus II is the complete package works
Platform: | Size: 399360 | Author: 佴立峰 | Hits:

[Embeded-SCM Developcf5_0

Description: NIOS的CF卡应用,包括了软件和硬件,支持多个系列的PFGA-NIOS the CF card applications, including the hardware and software, to support a number of series PFGA
Platform: | Size: 1608704 | Author: | Hits:

[Embeded-SCM DevelopCFide_test

Description: 该文件是SOPC中NiosII软核CF接口源程序代码,对CF卡控制的学习非常有用-The document is SOPC in NiosII soft-core CF interface source code, on the CF card is very useful to control the learning
Platform: | Size: 163840 | Author: 高鹏 | Hits:

[Embeded-SCM DevelopCF_NiosII5.0

Description: Compact Flash Support For Nios II 5.0, To download supporting materials for this new Compact Flash support, download the following .zip file, extract to a computer with Quartus II 5.0 & Nios II 5.0 installed, and proceed to use the hardware and/or software examples of your choice to proceed. Additional information is available in the readme.txt document, included in the top-level of the .zip file
Platform: | Size: 1589248 | Author: Robert | Hits:

[Software EngineeringNIOS_II_Cfunction

Description: 汇总了nios II中的常用C函数,对初学都的nios编程很有帮助。-Nios II summarizes the commonly used C functions, for beginners are very helpful to the Nios programming.
Platform: | Size: 4096 | Author: 刘兴宾 | Hits:

[Other Embeded programDevelopment_Guide_of_socFPG

Description: socFPGA开发简明教程 教程以非常详细的实例来让初学者了解基于QuartusII和NiosII IDE的FPGA/SOPC开发基本流程。-Concise Guide socFPGA developed a very detailed tutorial with examples to get beginners to understand QuartusII and NiosII IDE based on the FPGA/SOPC development of the basic processes.
Platform: | Size: 1629184 | Author: tian | Hits:

[VHDL-FPGA-VerilogCF_card_base_on_NiosII

Description: 基于NIOS的CF卡应用(包括了软件和硬件),ALTERA的IP库中只提供了底层的硬件寄存器描述头文件.这是个基于IP核HAL的软件,以及相应的硬件设计示例.-NIOS based on the CF card applications (including the software and hardware), ALTERA the IP library provides only the bottom of the first document describes the hardware registers. This is a HAL-based IP core of the software, hardware design and the corresponding sample.
Platform: | Size: 1398784 | Author: 沈阳 | Hits:

[VHDL-FPGA-VerilogZLG_CF

Description: 周立功nios平台下的CF卡驱动源代码,经测试,可运行。-CF CARD DRIVER FOR ZHOULIGONG NIOS
Platform: | Size: 209920 | Author: 张彬 | Hits:

CodeBus www.codebus.net